Commit fa128223 by xue_wengang

扒窃预警管控

parent 0be02b53
{
"code":200,
"data":{
"rows":[
{
"ids":"10271041",
"label":"进藏预警",
"codeType":"CODE_RYYJGK_RWLX",
"text":"进藏预警",
"pId":null,
"id":"01",
"isParent":false,
"children":[]
},
{
"ids":"10271042",
"label":"出藏预警",
"codeType":"CODE_RYYJGK_RWLX",
"text":"出藏预警",
"pId":null,
"id":"02",
"isParent":false,
"children":[]
},
{
"ids":"10271043",
"label":"活动轨迹预警",
"codeType":"CODE_RYYJGK_RWLX",
"text":"活动轨迹预警",
"pId":null,
"id":"03",
"isParent":false,
"children":[]
}
]
},
"success":true,
"message":"成功"
}
\ No newline at end of file
{
"code":200,
"data":{
"rows":[
{
"ids":"10271044",
"label":"已发布",
"codeType":"CODE_RYYJGK_RWZT",
"text":"已发布",
"pId":null,
"id":"0",
"isParent":false,
"children":[]
},
{
"ids":"10271045",
"label":"执行成功",
"codeType":"CODE_RYYJGK_RWZT",
"text":"执行成功",
"pId":null,
"id":"1",
"isParent":false,
"children":[]
},
{
"ids":"10271046",
"label":"执行失败",
"codeType":"CODE_RYYJGK_RWZT",
"text":"执行失败",
"pId":null,
"id":"2",
"isParent":false,
"children":[]
}
]
},
"success":true,
"message":"成功"
}
\ No newline at end of file
...@@ -5,13 +5,13 @@ import base from "@/api/base"; ...@@ -5,13 +5,13 @@ import base from "@/api/base";
export const getXsbh = params => export const getXsbh = params =>
post(`${base.alyIP}//thajxs/getXsbh`, params); post(`${base.alyIP}//thajxs/getXsbh`, params);
//关注重点人员 //关注团伙
export const insertUserRybz = params => export const addThxs = params =>
post(`${base.alyIP}/zdGzry/insertUserRybz`, params); postform(`${base.alyIP}/thajxs/addThxs`, params);
//取消关注重点人员 //新增附件
export const updateUserRybz = params => export const addXsfj = params =>
post(`${base.alyIP}/zdGzry/updateUserRybz`, params); post(`${base.alyIP}/xsfj/addXsfj`, params);
......
import { get, post, postform } from "@/utils/http.js";
import base from "@/api/base";
//任务结果
export const getTaskResult = params =>
post(`${base.alyIP}/ryyj/getTaskResult`, params);
//任务新增
export const addYjgkTask = params =>
postform(`${base.alyIP}/ryyj/addYjgkTask`, params);
...@@ -177,18 +177,18 @@ ...@@ -177,18 +177,18 @@
> >
<el-date-picker <el-date-picker
v-model="formLabelAlign[item.id_1]" v-model="formLabelAlign[item.id_1]"
format="yyyy-MM-dd HH:mm:ss" format="yyyy-MM-dd"
:disabled="item.disabled" :disabled="item.disabled"
value-format="yyyy-MM-dd HH:mm:ss" value-format="yyyy-MM-dd"
type="datetime" type="datetime"
> >
</el-date-picker> </el-date-picker>
<span style="padding: 0 5px"></span> <span style="padding: 0 5px"></span>
<el-date-picker <el-date-picker
v-model="formLabelAlign[item.id_2]" v-model="formLabelAlign[item.id_2]"
format="yyyy-MM-dd HH:mm:ss" format="yyyy-MM-dd"
:disabled="item.disabled" :disabled="item.disabled"
value-format="yyyy-MM-dd HH:mm:ss" value-format="yyyy-MM-dd"
type="datetime" type="datetime"
> >
</el-date-picker> </el-date-picker>
...@@ -903,6 +903,7 @@ export default { ...@@ -903,6 +903,7 @@ export default {
}, },
submit() { submit() {
var self = this; var self = this;
debugger
this.$refs.formLabelAlign.validate((valid) => { this.$refs.formLabelAlign.validate((valid) => {
if (valid) { if (valid) {
//使用FormData构造函数创建一个空对象 //使用FormData构造函数创建一个空对象
...@@ -910,7 +911,8 @@ export default { ...@@ -910,7 +911,8 @@ export default {
this.propFormField.forEach((itemData) => { this.propFormField.forEach((itemData) => {
let objStr = itemData.objStr ? `${itemData.objStr}.` : ""; let objStr = itemData.objStr ? `${itemData.objStr}.` : "";
itemData.data.forEach((item) => { itemData.data.forEach((item) => {
if (self.formLabelAlign[item.id]) { if (self.formLabelAlign[item.id] || self.formLabelAlign[item.id_1] ) {
debugger
if (item.type !== "file") { if (item.type !== "file") {
//1.1二级字典处理 //1.1二级字典处理
if (item.type == "lazyCodeTree" || item.type == "codeTree") { if (item.type == "lazyCodeTree" || item.type == "codeTree") {
...@@ -962,6 +964,9 @@ export default { ...@@ -962,6 +964,9 @@ export default {
params.append(objStr + item.id, this.imageUrl[val]); params.append(objStr + item.id, this.imageUrl[val]);
} }
} }
} else if (item.type == "datetime") {
params.append(item['id_1'],this.formLabelAlign[item.id_1])
params.append(item['id_2'],this.formLabelAlign[item.id_2])
} }
//1.2普通字段处理 //1.2普通字段处理
else { else {
......
<template> <template>
<div id="formCommonPage"> <div id="formCommonPage">
<div class="formContent" style="margin-top: 20px"> <div class="formContent" style="margin-top: 20px">
<div class="formWrap" v-for="groupItem in propFormField" <div
:key="groupItem.index"> class="formWrap"
v-for="groupItem in propFormField"
:key="groupItem.index"
>
<el-form <el-form
ref="formLabelAlign" ref="formLabelAlign"
label-position="right" label-position="right"
...@@ -10,9 +13,7 @@ ...@@ -10,9 +13,7 @@
:model="formLabelAlign" :model="formLabelAlign"
style="margin-bottom: 40px" style="margin-bottom: 40px"
> >
<div <div class="paneGroupDiv">
class="paneGroupDiv"
>
<div> <div>
<div class="subTitle" v-if="groupItem.title"> <div class="subTitle" v-if="groupItem.title">
<span class="subTitle_shuxian"></span> <span class="subTitle_shuxian"></span>
...@@ -700,36 +701,11 @@ ...@@ -700,36 +701,11 @@
<slot name="list"></slot> <slot name="list"></slot>
</div> </div>
</div> </div>
<!-- <div class="button" id="spbtn" v-if="formField[0].title != ' '"> <div class="button" id="spbtn">
<el-button <el-button @click="submit()" class="finish" size="medium">保存</el-button>
@click="submit()" <el-button @click="goBack()" size="medium">返回</el-button>
class="finish"
v-if="
pageBs != 'adjustRlqbxs' ||
(pageBs == 'adjustRlqbxs' &&
((formLabelAlign.bcxx && formLabelAlign.bcxx == '1') ||
!formLabelAlign.bcxx))
"
>保存</el-button
>
<el-button
@click="examine"
class="finish examine"
v-if="
pageBs == 'adjustRlqbxs' &&
formLabelAlign.spbz &&
formLabelAlign.spbz == '1'
"
>提请审批</el-button
>
</div> </div>
<div class="saveButton" id="spbtn01" v-if="formField[0].title == ' '"> <!-- <el-dialog :visible.sync="dialogVisible">
<el-button @click="submit()" class="finish">保存</el-button>
</div> -->
<!-- <div class="prive_picture" v-if="filePreviewFlag" @click="closefilePreview">
<el-image class="picture" :src="filePreview"> </el-image>
</div>
<el-dialog :visible.sync="dialogVisible">
<img width="100%" :src="dialogImageUrl" alt="" /> <img width="100%" :src="dialogImageUrl" alt="" />
</el-dialog> --> </el-dialog> -->
</div> </div>
...@@ -757,6 +733,7 @@ export default { ...@@ -757,6 +733,7 @@ export default {
type: Object, type: Object,
default: () => {}, default: () => {},
}, },
propTitle: String,
pageBs: String, pageBs: String,
}, },
data() { data() {
...@@ -768,7 +745,7 @@ export default { ...@@ -768,7 +745,7 @@ export default {
rules: {}, rules: {},
fileLists: {}, fileLists: {},
imageUrl: {}, imageUrl: {},
photoLists: {}, shade: false,
}; };
}, },
created() { created() {
...@@ -800,10 +777,7 @@ export default { ...@@ -800,10 +777,7 @@ export default {
//如果有规则的话 拿到全局的规则 规则是一个数组,数组里是对象 将规则复制到所绑定的对象那个中去,然后每一项绑定对象 //如果有规则的话 拿到全局的规则 规则是一个数组,数组里是对象 将规则复制到所绑定的对象那个中去,然后每一项绑定对象
} }
} }
if ( if (this.propFormField[i].data[j].type === "file") {
this.propFormField[i].data[j].type === "file" ||
this.propFormField[i].data[j].type === "photo"
) {
//判断文件上传 //判断文件上传
// self.formLabelAlign[this.propFormField[i].id] = "" // self.formLabelAlign[this.propFormField[i].id] = ""
if (this.propFormField[i].data[j].fileId) { if (this.propFormField[i].data[j].fileId) {
...@@ -812,14 +786,8 @@ export default { ...@@ -812,14 +786,8 @@ export default {
this.propFormField[i].data[j].fileId, this.propFormField[i].data[j].fileId,
[] []
); );
self.$set(
self.photoLists,
this.propFormField[i].data[j].fileId,
[]
);
} else { } else {
self.$set(self.fileLists, this.propFormField[i].data[j].id, []); self.$set(self.fileLists, this.propFormField[i].data[j].id, []);
self.$set(self.fileLists, this.photoLists[i].data[j].id, []);
} }
//给self.formLabelAlign 给每个匹配到的id属性值 添加一个空数组 //给self.formLabelAlign 给每个匹配到的id属性值 添加一个空数组
} }
...@@ -898,7 +866,7 @@ export default { ...@@ -898,7 +866,7 @@ export default {
}, },
submit() { submit() {
var self = this; var self = this;
this.$refs.formLabelAlign.validate((valid) => { this.$refs.formLabelAlign[0].validate((valid) => {
if (valid) { if (valid) {
//使用FormData构造函数创建一个空对象 //使用FormData构造函数创建一个空对象
var params = new FormData(); var params = new FormData();
...@@ -952,18 +920,9 @@ export default { ...@@ -952,18 +920,9 @@ export default {
} }
} }
} else if (item.type == "photo") { } else if (item.type == "photo") {
for (var fileItem in self.photoLists) { for (var val in this.imageUrl) {
for ( if (val == item.id && this.imageUrl[val]) {
var i = 0; params.append(objStr + item.id, this.imageUrl[val]);
i < self.photoLists[fileItem].length;
i++
) {
if (self.photoLists[fileItem][i].raw) {
params.append(
fileItem,
self.photoLists[fileItem][i].raw
);
}
} }
} }
} }
...@@ -1220,13 +1179,13 @@ export default { ...@@ -1220,13 +1179,13 @@ export default {
} }
}); });
}); });
for (var fileItem in self.fileLists) { // for (var fileItem in self.fileLists) {
for (var i = 0; i < self.fileLists[fileItem].length; i++) { // for (var i = 0; i < self.fileLists[fileItem].length; i++) {
if (self.fileLists[fileItem][i].raw) { // if (self.fileLists[fileItem][i].raw) {
params.append(fileItem, self.fileLists[fileItem][i].raw); // params.append(fileItem, self.fileLists[fileItem][i].raw);
} // }
} // }
} // }
this.$emit("submit", params); this.$emit("submit", params);
} else { } else {
...@@ -1249,9 +1208,16 @@ export default { ...@@ -1249,9 +1208,16 @@ export default {
const isJPG = /^.*\.(jpg|jpeg|png|mp4|pdf|docx|doc)$/i.test( const isJPG = /^.*\.(jpg|jpeg|png|mp4|pdf|docx|doc)$/i.test(
file.name.substring(index) file.name.substring(index)
); );
debugger;
if (item.type == "photo") { if (item.type == "photo") {
if (isJPG) { if (isJPG) {
self.imageUrl[item.id] = URL.createObjectURL(file.raw); this.$set(self.imageUrl, item.id, file.raw);
this.$set(
self.formLabelAlign,
item.id,
URL.createObjectURL(file.raw)
);
// debugger;
} else { } else {
self.$message.error("请上传正确的图片"); self.$message.error("请上传正确的图片");
} }
...@@ -1261,7 +1227,7 @@ export default { ...@@ -1261,7 +1227,7 @@ export default {
} }
if (isLt1M) { if (isLt1M) {
this.fileLists[item.id].push(file); this.fileLists[item.id].push(file);
this.$refs.formLabelAlign.clearValidate(); this.$refs[0].formLabelAlign.clearValidate();
} else { } else {
this.$confirm("上传文件不能超过100M", "提示", { this.$confirm("上传文件不能超过100M", "提示", {
confirmButtonText: "确定", confirmButtonText: "确定",
...@@ -1277,9 +1243,34 @@ export default { ...@@ -1277,9 +1243,34 @@ export default {
} }
} }
}, },
// ss(e){
// console.log(e.target.)
// },
handleRemove(file, fileList, item, parentItem) { handleRemove(file, fileList, item, parentItem) {
this.$set(this.fileLists, item.id, fileList); this.$set(this.fileLists, item.id, fileList);
}, },
//子组件调用删除接口后进行前端删除
photoRemove(item) {
this.$set(this.formLabelAlign, item.id, "");
this.$set(this.imageUrl, item.id, "");
},
//执行删除照片的操作
removePhoto(item) {
this.$confirm("此操作将永久删除该文件, 是否继续?", "提示", {
confirmButtonText: "确定",
cancelButtonText: "取消",
type: "warning",
})
.then(() => {
this.$emit("removePhoto", item);
})
.catch(() => {
this.$message({
type: "info",
message: "已取消删除",
});
});
},
handleBeforeRemove(file, fileList, item, parentItem) {}, handleBeforeRemove(file, fileList, item, parentItem) {},
handlerPreview(file, fileList, item, parentItem) {}, handlerPreview(file, fileList, item, parentItem) {},
httpRequest() {}, httpRequest() {},
...@@ -1367,7 +1358,6 @@ export default { ...@@ -1367,7 +1358,6 @@ export default {
}, },
}, },
mounted() { mounted() {
console.log(this.formLabelAlign, 22222222222222);
var self = this; var self = this;
self.propFormField.forEach((val) => { self.propFormField.forEach((val) => {
val.data.forEach((val2) => { val.data.forEach((val2) => {
...@@ -1472,7 +1462,7 @@ $-header-fontSize: 18px; ...@@ -1472,7 +1462,7 @@ $-header-fontSize: 18px;
height: 16px; height: 16px;
background: #ff8d1e; background: #ff8d1e;
opacity: 1; opacity: 1;
margin-right: 12px margin-right: 12px;
} }
.subTitle_title { .subTitle_title {
font-size: 16px; font-size: 16px;
...@@ -1933,42 +1923,14 @@ $-header-fontSize: 18px; ...@@ -1933,42 +1923,14 @@ $-header-fontSize: 18px;
z-index: 2; z-index: 2;
display: flex; display: flex;
align-items: center; align-items: center;
justify-content: flex-end; justify-content: center;
position: fixed; position: fixed;
bottom: 0; bottom: 0;
width: calc(100% - 305px); width: 100%;
.finish {
width: 76px;
height: 32px;
background: #0061f7;
border-radius: 4px;
color: #ffffff;
line-height: 9px;
}
.examine {
width: 92px;
}
}
.saveButton {
padding: 0 32px;
height: 48px;
background: #fff;
// box-shadow: 0px -2px 4px rgba(0, 0, 0, 0.08);
opacity: 1;
z-index: 4;
// display: flex;
// align-items: center;
// justify-content: flex-end;
// position: fixed;
bottom: 0;
width: calc(100% - 305px);
.finish { .finish {
width: 76px; background: #0061f7 !important;
height: 32px;
background: #0061f7;
border-radius: 4px;
color: #ffffff; color: #ffffff;
line-height: 9px; margin-right: 16px !important;
} }
} }
} }
......
...@@ -784,7 +784,8 @@ ...@@ -784,7 +784,8 @@
pageBs == 'queryRyzp' || pageBs == 'queryRyzp' ||
pageBs == 'llycx' || pageBs == 'llycx' ||
pageBs == 'xywspdzczl' || pageBs == 'xywspdzczl' ||
pageBs == 'sqqxgl' pageBs == 'sqqxgl'||
pageBs == 'yjrwGl'
) )
" "
>修改</span >修改</span
...@@ -804,6 +805,13 @@ ...@@ -804,6 +805,13 @@
>角色配置</span >角色配置</span
> >
<span <span
@click="edit(scope)"
class="edit"
style="margin-right: 16px"
v-if="pageBs == 'yjrwGl'"
>查看结果</span
>
<span
@click="editMenu(scope)" @click="editMenu(scope)"
class="edit" class="edit"
style="margin-right: 16px" style="margin-right: 16px"
...@@ -829,7 +837,9 @@ ...@@ -829,7 +837,9 @@
pageBs != 'queryRlqbxsSH' && pageBs != 'queryRlqbxsSH' &&
pageBs != 'llycx' && pageBs != 'llycx' &&
pageBs != 'xywspdzczl' && pageBs != 'xywspdzczl' &&
pageBs != 'sqqxgl' pageBs != 'sqqxgl'&&
pageBs != 'queryRlqbxsSH'&&
pageBs != 'yjrwGl'
" "
>删除</span >删除</span
> >
......
...@@ -71,7 +71,22 @@ const menuLayouts = [ ...@@ -71,7 +71,22 @@ const menuLayouts = [
}, },
component: () => import("@/views/zdry/myaddZdryInsert.vue") component: () => import("@/views/zdry/myaddZdryInsert.vue")
}, },
{
path: "/yjrwGl",
name: "yjrwGl",
meta: {
title: '预警任务管理'
},
component: () => import("@/views/zdry/yjrwGl.vue")
},
{
path: "/addYjrw",
name: "addYjrw",
meta: {
title: '任务新增'
},
component: () => import("@/views/zdry/addYjrw.vue")
}
]; ];
......
...@@ -76,7 +76,7 @@ ...@@ -76,7 +76,7 @@
> >
</el-pagination> </el-pagination>
</div> </div>
<div class="formWrap"> <div class="formWrap" style="margin-bottom:70px">
<div class="subTitle"> <div class="subTitle">
<span class="subTitle_shuxian"></span> <span class="subTitle_shuxian"></span>
<div class="subTitle_title">关联嫌疑人</div> <div class="subTitle_title">关联嫌疑人</div>
...@@ -146,7 +146,7 @@ ...@@ -146,7 +146,7 @@
<script> <script>
import formCompontent from "@c/form_compontent.vue"; import formCompontent from "@c/form_compontent.vue";
import { insertZdjyhd, updateZdjyhd, infoZdjyhd } from "@/api/zdxx/zdjyhd.js"; import { insertZdjyhd, updateZdjyhd, infoZdjyhd } from "@/api/zdxx/zdjyhd.js";
import { getXsbh } from "@/api/yzzfzxsyp/thxs.js"; import { getXsbh,addThxs } from "@/api/yzzfzxsyp/thxs.js";
import { getXsglasjList } from "@/api/yzzfzxsyp/xlglaj.js"; import { getXsglasjList } from "@/api/yzzfzxsyp/xlglaj.js";
import { getXsglxyrList } from "@/api/yzzfzxsyp/xlglxyr.js"; import { getXsglxyrList } from "@/api/yzzfzxsyp/xlglxyr.js";
export default { export default {
...@@ -156,19 +156,20 @@ export default { ...@@ -156,19 +156,20 @@ export default {
}, },
data() { data() {
return { return {
title: "新增阵地交易活动", title: "新增团伙线索信息",
propFormField: [ propFormField: [
//基本信息 //基本信息
{ {
title: "阵地交易活动", title: "团伙线索信息",
id: 1, id: 1,
objStr: "jyhd", objStr: "",
index: 0, index: 0,
data: [ data: [
{ {
name: "线索编号:", name: "线索编号:",
id: "xsbh", id: "xsbh",
type: "text", type: "text",
prop: "checkEmpty",
value: "", value: "",
placeholder: "请输入", placeholder: "请输入",
col: "2", col: "2",
...@@ -332,6 +333,8 @@ export default { ...@@ -332,6 +333,8 @@ export default {
}).then((res) => { }).then((res) => {
if (res.code == 200) { if (res.code == 200) {
this.xsbh = res.data.xsbh; this.xsbh = res.data.xsbh;
this.$set(this.formLabelAlign,'xsbh',this.xsbh)
this.getAjList(); this.getAjList();
this.getXyrList() this.getXyrList()
} }
...@@ -402,21 +405,20 @@ export default { ...@@ -402,21 +405,20 @@ export default {
} }
}, },
addSubmit(params, loading) { addSubmit(params, loading) {
params.append("jyhd.glxxZdxxzjbh", this.glxxzjbh); addThxs(params).then((res) => {
insertZdjyhd(params).then((res) => {
if (res.success && res.code == 200) { if (res.success && res.code == 200) {
this.$alert("保存成功!", "提示", { // this.$alert("保存成功!", "提示", {
confirmButtonText: "确定", // confirmButtonText: "确定",
type: "success", // type: "success",
callback: () => { // callback: () => {
this.$router.push("/queryZdjyhd"); // this.$router.push("/queryZdjyhd");
loading.close(); // loading.close();
sessionStorage.setItem("routerPath", "queryZdjyhd"); // sessionStorage.setItem("routerPath", "queryZdjyhd");
setTimeout(() => { // setTimeout(() => {
this.$bus.$emit("routerPath"); // this.$bus.$emit("routerPath");
}, 20); // }, 20);
}, // },
}); // });
} else { } else {
loading.close(); loading.close();
} }
......
<template>
<div>
<form-compontent
:formField="propFormField"
:formLabelAligns="formLabelAlign"
@submit="submit"
:propTitle="title"
>
</form-compontent>
</div>
</template>
<script>
import formCompontent from "@c/form.vue";
import { addYjgkTask } from "@/api/zdry/yjrwGl.js";
export default {
name: "addYjrw",
components: {
formCompontent,
},
data() {
return {
title: "新增任务",
propFormField: [
//基本信息
{
title: "新增任务",
id: 1,
objStr: "",
index: 0,
data: [
{
name: "证件号码:",
id: "zjhm",
type: "text",
value: "",
placeholder: "请输入",
prop: "checkEmpty",
col: "2",
},
{
name: "任务类型:",
id: "taskType",
type: "codeTree",
codeTree: "CODE_RYYJGK_RWLX",
codeOptions: [],
value: "",
prop: "checkEmpty",
placeholder: "请输入",
col: "2",
},
{
name: "发布时间:",
id_1: "kssj",
id_2: "jssj",
type: "datetime",
prop: "checkEmpty",
value: "",
placeholder: "请输入",
col: "2",
},
],
},
],
formLabelAlign: {},
Menu: [
{
id: "addYjrw",
label: "任务新增",
index: "addYjrw",
auth: "M0101",
className: "iconfont iconrizhi",
disabled: false,
}
],
};
},
methods: {
submit(params) {
let loading = this.$loading({
lock: true,
text: "正在保存...",
spinner: "el-icon-loading",
background: "rgba(255, 255, 255, 0.7)",
});
addYjgkTask(params).then((res) => {
if (res.success && res.code == 200) {
this.$alert("保存成功!", "提示", {
confirmButtonText: "确定",
type: "success",
callback: () => {
this.$router.push("/yjrwGl");
loading.close();
},
});
} else {
loading.close();
}
});
},
},
created() {
this.$store.commit("user/SET_Breadcrumb", this.Menu);
},
};
</script>
<style scoped lang="scss">
</style>
\ No newline at end of file
<template>
<div class="Content">
<right-content
:pageBs="pageBs"
:header="header"
:cxFormData="cxFormData"
:cxQueryField="cxQueryField"
:cxDefaultFormThead="cxDefaultFormThead"
:cxUrl="cxUrl"
@add="add"
@edit="edit"
></right-content>
<el-dialog title="查看结果" :visible.sync="dialogVisible" width="60%">
<el-tabs v-model="activeName" @tab-click="handleClick">
<el-tab-pane
label="检查站信息"
name="check"
v-if="taskType == '01' || taskType == '03'"
style="margin-bottom:20px"
>
<el-table :data="resultData['check']" style="width: 100%" max-height="350" >
<el-table-column prop="nm" label="姓名"> </el-table-column>
<el-table-column prop="cety" label="证件类型"> </el-table-column>
<el-table-column prop="ceco" label="证件号码"> </el-table-column>
<el-table-column prop="orpl" label="户籍地"> </el-table-column>
<el-table-column prop="ckad" label="检查站名称"> </el-table-column>
<el-table-column prop="stti" label="检查时间"> </el-table-column>
<el-table-column prop="ckcm" label="核查结论"> </el-table-column>
</el-table>
</el-tab-pane>
<el-tab-pane label="名航信息" name="mh" v-if="taskType == '02'">
<el-table :data="resultData['mh']" style="width: 100%" max-height="350">
<el-table-column prop="nm" label="姓名"> </el-table-column>
<el-table-column prop="cety" label="证件类型"> </el-table-column>
<el-table-column prop="ceco" label="证件号码"> </el-table-column>
<el-table-column prop="orpl" label="户籍地"> </el-table-column>
<el-table-column prop="depa" label="出发地"> </el-table-column>
<el-table-column prop="dest" label="目的地"> </el-table-column>
<el-table-column prop="flnu" label="航班号"> </el-table-column>
<el-table-column prop="stti" label="出发时间">
</el-table-column></el-table
></el-tab-pane>
<el-tab-pane label="铁路信息" name="tl" v-if="taskType == '02'">
<el-table :data="resultData['tl']" style="width: 100%" max-height="350">
<el-table-column prop="tino" label="车票号"> </el-table-column>
<el-table-column prop="nm" label="姓名"> </el-table-column>
<el-table-column prop="ceco" label="证件号码"> </el-table-column>
<el-table-column prop="tist" label="车票状态"> </el-table-column>
<el-table-column prop="cono" label="车厢号"> </el-table-column>
<el-table-column prop="trno" label="车次"> </el-table-column>
<el-table-column prop="frsa" label="发站名称"> </el-table-column>
<el-table-column prop="tost" label="到站名称"> </el-table-column>
<el-table-column prop="seat" label="座位信息">
</el-table-column> </el-table
></el-tab-pane>
<el-tab-pane label="旅店信息" name="ld" v-if="taskType == '03'">
<el-table :data="resultData['ld']" style="width: 100%" max-height="350">
<el-table-column prop="nm" label="姓名"> </el-table-column>
<el-table-column prop="cety" label="证件类型"> </el-table-column>
<el-table-column prop="ceco" label="证件号码"> </el-table-column>
<el-table-column prop="orpl" label="户籍地"> </el-table-column>
<el-table-column prop="sina" label="旅店名称"> </el-table-column>
<el-table-column prop="newa" label="旅店编号"> </el-table-column>
<el-table-column prop="siad" label="旅馆地址"> </el-table-column>
<el-table-column prop="stti" label="入住时间"> </el-table-column>
<el-table-column prop="entm" label="退房时间"> </el-table-column>
<el-table-column prop="roid" label="房间号">
</el-table-column></el-table
></el-tab-pane>
<el-tab-pane label="网吧信息" name="wb" v-if="taskType == '03'">
<el-table :data="resultData['wb']" style="width: 100%" max-height="350">
<el-table-column prop="nm" label="姓名"> </el-table-column>
<el-table-column prop="cety" label="证件类型"> </el-table-column>
<el-table-column prop="ceco" label="证件号码"> </el-table-column>
<el-table-column prop="orpl" label="户籍地"> </el-table-column>
<el-table-column prop="sina" label="网吧名称"> </el-table-column>
<el-table-column prop="newa" label="网吧编号"> </el-table-column>
<el-table-column prop="siad" label="网吧地址"> </el-table-column
><el-table-column prop="stti" label="上网时间">
</el-table-column> </el-table
></el-tab-pane>
</el-tabs>
</el-dialog>
</div>
</template>
<script>
import { getTaskResult } from "@/api/zdry/yjrwGl.js";
import { deleteAddZdry } from "@/api/zdry/zdrysp.js";
import rightContent from "@c/ptCxForm_components.vue";
import testVue from "../index/test.vue";
export default {
name: "yjrwGl",
components: {
rightContent,
},
data() {
return {
header: "预警任务管理",
pageBs: "yjrwGl",
cxFormData: {
zjhm: "",
taskType: "",
taskState: "",
djsjStart: "",
djsjEnd: "",
limit: 10,
page: 1,
},
cxQueryField: [
{
name: "证件号码",
id: "zjhm",
type: "text",
value: "",
placeholder: "",
col: "3",
},
{
name: "任务类型",
id: "taskType",
type: "codeTreeDialog",
props: "checkEmpty",
value: "",
placeholder: "请选择",
col: "3",
codeOptions: [],
codeTree: "CODE_RYYJGK_RWLX",
},
{
name: "任务状态",
id: "taskState",
type: "codeTreeDialog",
props: "checkEmpty",
value: "",
placeholder: "请选择",
col: "3",
codeOptions: [],
codeTree: "CODE_RYYJGK_RWZT",
},
{
name: "发布时间",
id: "djsjStart",
id2: "djsjEnd",
type: "zdyDate",
value: "",
col: "3",
},
],
cxDefaultFormThead: [
{
label: "任务id",
prop: "taskId",
width: 220,
},
{
label: "身份证号",
prop: "zjhm",
},
{
label: "任务类型",
prop: "taskTypeStr",
},
{
label: "开始时间",
prop: "kssj",
},
{
label: "结束时间",
prop: "jssj",
},
{
label: "任务状态",
prop: "taskStateStr",
},
{
label: "发布时间",
prop: "djsj",
},
],
cxUrl: "/ryyj/getYjgkTaskList",
Menu: [
{
id: "yjrwGl",
label: "预警任务管理",
index: "yjrwGl",
auth: "M0101",
className: "iconfont iconrizhi",
disabled: false,
},
],
dialogVisible: false,
resultData: {},
taskType: "",
activeName: "check",
};
},
created() {
this.$store.commit("user/SET_Menu", this.Menu);
},
methods: {
handleClick(tab) {
this.activeName = tab.name;
},
add() {
this.$router.push("/addYjrw");
},
edit(scope) {
var self = this
self.taskType = scope.row.taskType;
self.activeName = scope.row.taskType == "02" ? "mh" : "check";
getTaskResult({
taskId: scope.row.taskId,
}).then((res) => {
self.resultData = res.data;
self.dialogVisible = true;
});
},
},
};
</script>
<style>
.el-dialog__body {
padding: 10px 15px !important;
}
.rightContent .el-input__inner,
#formCommonPage .el-input__inner {
height: 32px;
line-height: 32px;
font-family: inherit;
}
.el-table__header-wrapper th,
.el-table__header-wrapper tr {
background: #f4f6f7;
}
.rightContent .el-input__icon,
#formCommonPage .el-input__icon,
.el-input__suffix-inner {
line-height: 36px;
}
.rightContent .el-range-separator {
position: relative;
top: -4px;
}
.rightContent .el-textarea__inner,
#formCommonPage .el-textarea__inner {
width: 100%;
font-family: inherit;
}
.rightContent .el-range-input,
#formCommonPage .el-range-input {
vertical-align: top;
}
.rightContent .el-date-editor .el-range__close-icon {
margin-top: -4px;
}
.rightContent .el-form-item__error {
left: calc(66% - 27px);
top: 12px;
}
.success-row {
background-color: #fbf9f4 !important;
}
.Content .el-input,
.Content .el-date-editor--daterange.el-input__inner {
width: 100% !important;
}
</style>
<style scoped lang="scss">
@import "@/assets/styles/rightContent.scss";
</style>
...@@ -318,6 +318,14 @@ export default { ...@@ -318,6 +318,14 @@ export default {
}, },
], ],
}, },
{
id: "yjrwGl",
label: "预警任务管理",
newAuth: "M01",
index: "yjrwGl",
className: "iconfont icongongnengfuwu",
hasChildren: false
}
], ],
}; };
}, },
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment